Workflow
计算光刻
icon
搜索文档
半导体及封测产业发展现状与趋势(附95页PPT)
材料汇· 2025-10-09 23:34
市场与格局:东方崛起,AI需求决定周期 市场规模与增长 - 2025年全球半导体销售额预计达到7280亿美元,同比增长15.4% [3][7] - 增长主要得益于逻辑器件(增长29%)和存储器(增长17%)的强劲增长,受数据中心基础设施需求和人工智能边缘应用兴起驱动 [3][10] - 2025年上半年全球半导体市场销售额达到3466亿美元,同比增长18.9% [7] - 2026年预计全年增长率为9.9%,销售额达到8000亿美元 [7] - 中国大陆2025年上半年销售额96亿美元,占全球市场的28%,继续领跑区域市场 [3] 市场集中度 - 前五大晶圆厂(TSMC、Samsung、SMIC、UMC、GlobalFoundries)合计市占率达到83%,其中TSMC一家独占48.7%且先进制程溢价显著 [13] - 前五大设备商(ASML、AMAT、LAM、TEL、KLA)合计市占率达到86%,欧美日企业仍垄断高端设备市场 [13] 制造与设备:EUV高NA时代启幕,国产加速验证 光刻技术发展 - ASML的0.33NA EUV光刻机已量产用于3nm制程,0.55NA(High-NA)EUV光刻机2025年小批量进厂,预计2030年成为主流 [39][42] - 国产28nm DUV光刻机已通过产线验证,14nm光刻机目标2026年量产,SSX600 i-line光刻机支持90-280nm关键层工艺 [39][59] 核心部件与价值链 - EUV光刻机的核心部件包括德国蔡司的光学系统、美国Cymer的13.5nm光源和日本激光器,这些部件占EUV价值链的70% [56] - 美国出口管制倒逼国产化进程 [56] 设备投资与国产化 - 2025年全球晶圆厂资本支出约1880亿美元,中国大陆资本支出350亿美元,同比增长40% [67] - 北方华创、中微公司、盛美、华海清科、拓荆科技等中国设备公司2024年合计营收74.4亿美元,同比增长43.5% [31][67] - 刻蚀、薄膜沉积、清洗、CMP等环节国产化率首次突破20% [67] 工艺路线:FinFET→GAA→CFET,计算光刻+AI成精度杠杆 晶体管技术演进 - 三星3nm GAA技术已量产,TSMC 2nm计划2025年第四季度风险试产,Intel的20A/18A节点采用RibbonFET技术 [69] - CFET(互补场效应晶体管)技术通过垂直堆叠n型和p型沟道,可将晶体管密度提升1.5-2倍,IMEC预计2028年A7节点导入 [69] 光刻极限与计算光刻 - k₁因子降至0.25以下,需要多重曝光技术(LELE/LFLE/SADP/SAQP)和ILT逆向掩模技术 [72][73] - NVIDIA的cuLitho技术通过GPU加速将全芯片ILT运算从数周缩短至数天,加速40倍,TSMC已将其导入2nm掩模产线 [72][87] - 国内计算光刻进展包括东方晶源的PanGen ILT技术支持90-14nm量产,AI模型提速80倍;宇微光学的28nm OPC技术已商用 [84] 先进封装:从2.5D中介层到3D混合键合 市场规模 - 2026年前封装市场总额预计达到960亿美元,年复合增长率3.8%,2026年先进封装市场份额将首次超过传统封装 [104] 技术路径 - 2.5D封装技术包括CoWoS-S(硅中介层)、CoWoS-L(LSI+RDL)和CoWoS-R(RDL中介层),中介层面积可扩展至3.3倍光罩尺寸(约2700mm²) [124][126][130] - 3D IC技术中,HBM3E实现12层堆叠,TSV深宽比大于20:1,微凸点间距55µm [140] - 混合键合技术实现Cu/SiO₂键合,间距1µm,2025年HBM4与SoC直接混合键合可将信号延迟降至0.5ns以下,功耗降低30% [140][160] 国产布局 - 长电科技、通富微电、华天科技、盛合晶微等公司2025年2.5D TSV产线投产 [168] - 深南电路、兴森科技的Build-up基板通过Intel和AMD认证,国产FC-BGA高端基板月产能合计150万片 [168] AI全链路赋能:从材料到封测 EDA智能化 - Synopsys的DSO.ai在5nm GPU上实现18%频率提升和15%功耗下降;Cadence的Cerebrus在28nm车规MCU上减少30%面积 [170] - 国产EDA公司芯华章、概伦电子、九同方发布AI-SPICE和AI-DFT工具,迭代速度提升3-5倍 [170] 制造智能化 - 应用材料的ExtractAI技术通过千分采样完成全片缺陷分类,良率爬坡周期缩短25% [172] - 中芯国际在线FDC采用强化学习技术,OOC(超出控制)事件降低42% [172] - TCL中环的拉晶大数据平台使12英寸硅片SFQR≤20nm直通率达到95% [172] 材料计算 - 材料基因组与AI技术结合,五年积累10万组数据,铜阻挡层Ta/TaN配方开发周期从6个月缩短至6周 [181] - 300mm硅片抛光液、光刻胶树脂、高纯湿化学品国产化率目标2025年达到30%,2030年达到70% [181] 产业展望(2025-2030) 技术节奏 - 2025年2nm GAA技术量产,2027年1.4nm CFET风险试产,2030年1nm以下技术单片集成2000亿晶体管 [188] - 3D DRAM和3D NAND堆叠层数推向500层以上,混合键合成为Chiplet标准接口 [188] 供应链重构 - 美国《芯片法案》、欧盟Chips-JU、日本2nm联盟持续收紧对华光刻、EDA和高带宽存储出口 [189] - 中国通过"举国体制+大基金三期"撬动1万亿元人民币投资,目标2028年实现28nm全链条去美化,14nm部分去欧化 [189] 竞争格局 - TSMC、三星和英特尔继续把持先进制程第一梯队 [190] - 中国大陆以成熟制程、先进封装和AI设计服务为突破口,预计2030年获取全球25%晶圆产能、35%封装份额和15%设备市场,形成"第二极"供应链 [190]
一场知识挑战赛,打开ASML的“全景光刻”黑科技宇宙
半导体行业观察· 2025-06-27 09:20
ASML全景光刻技术生态 - 公司构建了覆盖光刻全流程的软硬件协同系统,包括光刻机台、光罩优化、光学对准、计算光刻、缺陷检测及晶圆厂工艺协同[1] - 技术体系由硬件模块、软件平台与优化算法共同支撑,形成完整的光刻解决方案[1] - 代表的不单是设备制造商,而是光刻领域的技术生态系统[2] 计算光刻技术 - 计算光刻通过模型和算法预测并修正图形偏差,成为现代光刻的"数字大脑"[5] - 采用人工智能技术优化工艺参数组合,提升光刻质量并缩短开发时间[9] - 光学邻近效应校正(OPC)技术通过调整主体图案或添加辅助图形补偿光学干涉[6] - 解决Sbar辅助图形异常曝出需考虑主体图案影响并进行整体优化[9] 电子束检测技术 - 电子束显微镜技术通过三级静电透镜系统实现电子束精确控制[11] - 等势线分布决定电子轨迹精度,影响成像分辨率[12] - 公司电子束检测平台可识别亚纳米级缺陷,检测精度超越传统光学方法[13] - 系统通过多级透镜调控、信号捕获和图像处理算法实现自动缺陷分类[13] 光刻机核心模组 - 投影物镜、光源系统和晶圆平台构成光刻工艺的"物理骨架"[15] - 采用双晶圆台设计实现曝光与预对准并行,提升生产效率[20] - 照明光学模组采用多镜片设计,实现4:1或5:1图案缩比投影[18] - 光罩模组结合气浮技术、真空夹持和激光干涉仪,定位精度达纳米级[18] 环境控制系统 - DUV传感器实时监测温度、湿度、振动等环境参数[22] - 多点高度检测系统通过误差模型校正测量结果,控制硅片表面平整度[22] - TWINSCAN平台采用闭环控制系统实现毫秒级环境调整[23][26] - 集成算法和高精度执行机构确保纳米级工艺控制[26] 技术活动 - 公司将于2025年6月20日举办「ASML杯」光刻知识挑战赛[3] - 赛事题目设计体现光刻技术核心挑战,如OPC校正、电子束控制等[6][11] - 活动旨在展示光刻技术全貌并吸引技术人才参与[28][29]