应用材料(AMAT)

搜索文档
突然全线暴跌,发生了什么?
证券时报· 2025-09-01 19:50
日韩半导体板块抛售 - 日本芯片测试设备制造商爱德万测试一度暴跌超9% 收盘暴跌7.97% [1][3] - 韩国设备厂商韩美半导体暴跌超6% 收盘暴跌6.32% [1][3] - SK海力士一度暴跌超5% 收盘大跌4.83% [1][3] - 三星电子大跌超3% 收盘大跌3.01% [1][3] - 日经225指数跌1.24% 韩国综合指数跌1.35% [3] 美股科技股抛售影响 - 费城半导体指数大跌超3% [3] - 迈威尔科技暴跌超18% [3] - 甲骨文暴跌5.9% [3] - 英伟达 博通 台积电ADR AMD大跌超3% [3] - 美光科技 应用材料 阿斯麦ADR 英特尔均跌超2% [3] 英伟达客户集中度风险 - 2026财年第二财季收入近40%仅来自两家客户 [1][3] - 客户A占总营收23% 客户B占16% [3] - 前两大客户销售额占比高于去年同期 去年分别为14%和11% [3] 美股估值水平 - 标普500指数市销率达3.23倍创历史新高 [7] - 基于未来12个月预期盈利的市盈率为22.5倍 远高于2000年以来16.8倍平均水平 [7] - 标普500指数中最大10家公司占据指数总市值39.5% 为有记录以来最高水平 [7] - 等权指数市销率为1.76倍 与长期平均值1.43倍相差不大 [8] AI行业风险预警 - 迈威尔科技业绩指引不及预期引发AI芯片增长放缓担忧 [1][3] - 风险投资对AI的狂热导致泡沫形成 类比软银对WeWork投资90亿美元最终破产案例 [8] - 极高估值与拥挤交易增加美股大跌可能性 [7]
突然!全线暴跌,发生了什么?
券商中国· 2025-09-01 19:06
日韩半导体板块抛售事件 - 日本韩国芯片股全线暴跌 爱德万测试跌7.97% 瑞萨电子跌2.21% 韩美半导体跌6.32% SK海力士跌4.83% 三星电子跌3.01% [2][3] - 日韩股市受拖累 日经225指数跌1.24% 韩国综合指数跌1.35% [3] 美股科技股抛售影响 - 费城半导体指数大跌超3% 迈威尔科技暴跌18% 甲骨文跌5.9% 英伟达/博通/台积电ADR/AMD均跌超3% [3] - 迈威尔科技业绩指引不及预期 引发AI芯片增长放缓担忧 [2][3] 英伟达客户集中度风险 - 2026财年Q2近40%收入来自两家客户 客户A占23% 客户B占16% [2][3][4] - 前两大客户占比显著高于去年同期(14%和11%)引发过度依赖讨论 [4] - 客户主要为云计算巨头(微软/亚马逊/谷歌/甲骨文)及直接采购的OEM厂商 [4] 美股高估值风险 - 标普500市销率达3.23倍创历史新高 远期市盈率22.5倍远高于16.8倍历史均值 [6] - 前十大公司占指数总市值39.5% 为历史最高水平 [7] - 等权重市销率1.76倍与长期均值1.43倍差距较小 显示估值集中于巨头 [7] AI投资泡沫警告 - 极高估值与拥挤交易增加市场大跌风险 [7] - 软银投资案例被引用(WeWork投资90亿美元后破产 Zume投资3.75亿美元后关闭)警示AI投资过热 [8] - 企业难以满足过高预期 基本面和估值将成股价关键决定因素 [7]
全球半导体资本设备-中国晶圆厂设备是把双刃剑
2025-09-01 00:21
**全球半导体资本设备行业研究关键要点** **行业与公司** * 研究覆盖全球半导体晶圆制造设备(WFE)市场及主要设备供应商[1] * 重点公司包括美国应用材料(AMAT)、泛林集团(LRCX)、荷兰ASML、日本东京电子(TEL)、Screen、Kokusai、Lasertec、迪斯科(DISCO)、爱德万测试(Advantest)[5][7] * 中国半导体设备商北方华创(NAURA)、中微公司(AMEC)、拓荆科技(Piotech)[6][7] **核心观点与论据** **WFE市场预测调整** * 2025年全球WFE支出预测上调至1140亿美元(原1110亿美元),同比增长6%(原+2%)[2][17] * 2026年WFE预测上调至1200亿美元(原1190亿美元),同比增长5%(原+7%)[2][17] * 2027年WFE预计1150亿美元,同比下滑3%,主因中国先进逻辑投资正常化[2][17] * 中国WFE 2025年预计同比-5%(原-13%),2026年持平(原+5%),2027年同比-19%[2][17][21] * 非中国WFE 2025年同比+13%(原+14%),2026年+8%,2027年+5%[2][17] **细分市场动态** * 逻辑/晶圆代工WFE 2025年上调至710亿美元(原660亿美元),同比+7%(原-7%),主因中国晶圆代工支出超预期及三星/台积电资本支出增加[3][17] * DRAM WFE 2025年下调至290亿美元(原310亿美元),同比+6%(原+13%),主因中国支出放缓及HBM生产良率提升[3][17] * NAND WFE 2025年微调至90亿美元(原91亿美元),同比+50%(原+52%),仍远低于2021/22年峰值200亿美元[17][32] **中国市场需求** * DeepSeek加速中国AI芯片产能扩张,推动先进逻辑投资[6][37] * 成熟逻辑产能扩张持续且超预期,支撑本地设备商订单[6][37] * 2025年中国半导体设备进口额预计同比-12%(原-23%),主要设备商中国收入占比指引趋稳[39][42] **公司评级与估值** * AMAT(跑赢大盘,目标价195美元):受益先进制程需求、服务业务增长及资本回报,估值仅17.8倍远期市盈率[4][41][49] * LRCX(跑赢大盘,目标价105美元):受益NAND升级周期,估值22.6倍远期市盈率[4][41][47] * TEL(跑赢大盘,目标价29,400日元):预计随DRAM扩张收复中国份额,日元贬值提升竞争力[5][9] * Kokusai(跑赢大盘,目标价3,570日元):批量ALD设备领先,但22%收入复合增长率指引过高[5][10] * Screen(同步大盘,目标价12,000日元):清洗设备竞争激烈,中国收入下降拖累利润率[5][11] * Lasertec(跑输大盘,目标价10,900日元):收入增长放缓至5%,KLA切入actinic检测构成威胁[5][12] * 中国设备商NAURA(目标价400元)、AMEC(目标价300元)、Piotech(目标价280元)均获跑赢大盘评级,受益国产替代及份额提升[6][14][15][16] **其他重要内容** * 日本设备商短期受中国需求拖累,但长期地位稳固且估值低廉[5][60] * ASML因先进逻辑资本支出改善而更受看好,但中国问题及光刻强度抑制乐观情绪[5] * 中国设备商2025年订单指引强劲:NAURA订单470-490亿元,AMEC收入及订单均增30%+,Piotech收入存在上行风险[71][73][76] **数据与单位换算** * 全球WFE市场2024年基数约1080亿美元[19] * 中国WFE 2024年同比增长55%,2023年同比增长39%[22] * 非中国WFE 2024年同比增长8%[27] * 内存WFE中DRAM占比显著高于NAND(2025年DRAM 290亿 vs NAND 90亿)[32] * 逻辑/晶圆代工WFE规模远超内存(2025年710亿 vs 内存合计380亿)[34]
费城半导体指数跌2.5%
格隆汇APP· 2025-08-29 22:52
半导体行业整体表现 - 费城半导体指数盘初下跌2.5% [1] - 美股半导体股集体走弱 [1] 个股表现 - 迈威尔科技股价下跌超过16% [1] - 泛林集团、博通、AMD股价下跌超过3% [1] - 英伟达、ARM、应用材料、台积电股价下跌超过2% [1] - 阿斯麦、美光科技股价下跌超过1% [1]
应用材料:美国政府支持芯片制造商的举措不会改变公司的需求预测
格隆汇APP· 2025-08-29 10:16
美国政府芯片激励措施对需求影响 - 美国政府激励措施不会改变高端芯片制造工具需求预测 [1] - 政府支持可能改变芯片工厂建设地点但不会增加整体需求 [1] - 更多竞争可能略微降低工厂平均使用率但不足以改变五年预测 [1] 应用材料公司与客户关系 - 美国政府收购英特尔约10%股权 英特尔为公司客户 [1]
Applied Materials (AMAT) 2025 Conference Transcript
2025-08-29 03:02
公司:应用材料 (Applied Materials) 核心观点与论据 * 公司Q3业绩创纪录 营收和每股收益均达历史新高[4] * 公司对Q4的指引低于预期 主要受中国市场需求低于预期和先进逻辑节点设备提货率非线性的影响[5][6] * 公司预计其半导体设备业务今年将增长约4%[20] * 公司预计先进逻辑和DRAM将是未来五年以上增长最快的两大设备市场[10] * 公司预计将在先进逻辑和DRAM领域获得市场份额 以抵消在中国可能出现的份额损失[29] * 环绕栅极 (GAA) 节点设备市场规模巨大 预计将支持30万片/月的晶圆产能[39] * 公司2024年GAA相关设备销售额为25亿美元 预计2025年将达45亿美元 两年合计70亿美元[41] * 中国ICAPS市场(成熟逻辑/节点)在2023和2024年经历巨大建设后 预计2025年将放缓[4][18] * 公司在中国因实体清单限制无法服务的积压订单金额为4亿美元[25] * 全球服务(AGS)业务的核心部分(超过90%)预计将以低双位数增长 其中超过三分之二为订阅收入[61][62] * Q4毛利率指引为48.1% 被视为一个正常的基准水平[66] * 与两年前相比 Q3的高位48%毛利率改善了150个基点[66] 其他重要内容 * 先进逻辑节点的晶圆厂利用率达到100% 并且报告的设计数量高于前代节点[6] * 环绕栅极 (GAA) 晶体管比前代FinFET晶体管能效提升20%至30%[6][39] * 高性能计算系统(含GPU和HBM)对DRAM和先进逻辑产生巨大需求[7] * HBM DRAM目前约占DRAM产能的15% 并以30%至40%的复合年增长率增长[55] * 公司预计2024年DRAM业务对跨国公司而言增长接近50%[56] * 中国市场的建设重点正从40/45/60纳米等更成熟节点转向28纳米[45] * 公司看到全球ICAPS(中国以外)的利用率正在提升 并预计其未来将增长[50][51] * 200毫米设备市场正在萎缩 但某些化合物半导体技术仍需要它[62][63] 行业:半导体设备 (Semi Cap Equipment) 核心观点与论据 * 行业整体受到AI驱动 在DRAM和先进逻辑领域表现强劲 但面临中国成熟节点(ICAPS)市场需求减弱的逆风[17][18] * 不同设备商对前景的看法存在差异 原因包括各自在中国出货的时间窗口、对NAND的敞口以及服务中国客户的能力不同[10] * 晶圆制造设备 (WFE) 市场预计今年将实现增长[20] * 客户(包括成熟大客户)的订单承诺行为变得更晚 增加了供应链规划的波动性[22][23] * 地缘政治和贸易政策的不确定性是影响客户行为和投资计划的重要因素[22][26] * 第二梯队晶圆代工厂的竞争加剧 从整体市场需求角度看影响有限 但可能会影响整体产能利用率[31][33] * 中国本土的产能建设由地方激励政策驱动[46] * 全球ICAPS终端市场预计将以中高个位数速度增长[18][49] 其他重要内容 * 架构变革(如逻辑的GAA、背面供电、CFET;DRAM的6F2、4F2、3D DRAM)是客户重新评估设备供应商的关键时期[11] * 功率效率是推动所有设计向最先进节点迁移的主要动力[13][14] * 建设晶圆厂的前提是拥有明确的客户设计和量产承诺 而非盲目建设[34] * 中国在受限设备领域的技术发展 或全球规则环境的潜在变化 是未来行业格局的重大未知数[26][27][28][49] * 功率相关领域是ICAPS节点中创新的重点 例如化合物半导体和新材料[53]
全球半导体:《芯片法案 3》,中国资本支出持续增长,尽管面临挑战,迁移仍在推进Global Semis_ CHIPS Act 3_ China capex continues to grow; migration ongoing despite challenges
2025-08-28 10:12
行业与公司 * 行业聚焦于中国半导体行业 包括芯片制造 设计 封装测试以及半导体设备等子领域[1][3][4][5] * 公司涉及众多国内外企业 中国公司包括中芯国际(SMIC)[22][78][79][84] 华虹半导体(Hua Hong)[22][78][79][84] 晶合集成(Nexchip)[22][78][79][84] 以及半导体设备商北方华创(NAURA)[25][26][53] 中微公司(AMEC)[25][26][53] 拓荆科技(Piotech)[25][26][53]等 国际公司包括ASML[44][48][60] 应用材料(AMAT)[45][60][80] 泛林集团(LRCX)[45][46][60] KLA[45][46][60] 东京电子(Tokyo Electron)[49][60][80]等[45][46][48][49][60] 核心观点与论据 **中国半导体资本支出持续增长** * 将2025-30年中国半导体资本支出预测上调2%~6%至430亿-460亿美元 此前预测为400亿-440亿美元[1][4] * 2024年资本支出达到410亿美元 同比增长19%[4] * 预计2025-30年资本支出将分别增长5% 5% 1% 1% 1% 1% 达到430亿 450亿 450亿 450亿 460亿 460亿美元[14][16] * 投资重点将更多转向存储器和先进制程技术 由行业领导者主导 晶圆代工和存储器厂商合计占未来几年资本支出的约80%[4][16] **中国半导体产能扩张** * 预计2024-30年中国将新增700万片/月8英寸等效晶圆产能[19][23] * 中国半导体产能(仅包括8英寸和12英寸产线)将从2024年的600万片/月增至2030年的1300万片/月[19][23] * 尽管快速扩产 但领先厂商如中芯国际(93%)和华虹半导体(108%)在2Q25的产能利用率仍保持高位 显示供需健康[22] **本土设备供应商市场份额提升** * 中国晶圆制造设备(WFE)市场规模2026年预计达410亿美元 沉积 刻蚀 光刻是最大市场[24][25][28] * 中国WFE支出占全球比重将从2022年的22%升至2025-27年的37%~38%[26][28] * 本土设备供应商在中国WFE市场的份额(按价值计)将从2024年的17%提升至2027年的36%[30][32] * 本土设备商在中国WFE市场的收入预计从2024年的67亿美元增至2027年的156亿美元[31][32] **供应链迁移持续进行** * 产品扩展和迁移出现在多个子领域 包括CIS 汽车芯片和AI芯片 本土晶圆代工和封测厂商也在提升制造技术[5] * 推动力包括:结构性技术革新(如EV AI服务器)带来的供应链转移机遇 价格优势 更好服务 更快产品迭代 持续的研发投入[5] * 中国半导体制造商在中国半导体需求价值中的占比预计从2024年的17%升至2025年的21% 2030年的37%[33][35] **光刻机需求巨大但本土解决方案尚需时日** * 预计到2035年需要额外2261台光刻机才能完全满足中国的芯片需求 包括212台EUV 578台浸没式DUV和1471台干式DUV/UV 对应投资额达1100亿美元[37][39][40] * 若实现100%自给 到2035年中国将需要3619台光刻机[39][40] * 考虑到2024-35年间将有923台干式DUV退役 故需新增2261台[38][39] * 本土光刻解决方案仍需数年时间 需要大量人才 资金 政策支持 技术/IP创新和行业领导力 实现3nm以下能力可能需投入400亿美元的研发/资本支出[6] **利用多重 patterning 应对光刻瓶颈** * 在光刻发展存在瓶颈的背景下 预计中国供应链将利用浸没式DUV和多重 patterning 生产7nm芯片 但代价是良率更低 成本更高[44] * 根据ASML数据 使用EUV生产7nm芯片可比全DUV方案降低12%的晶圆成本 提高9%的良率 并缩短6个月上市时间[44][47] * 在供应限制下 本土供应商或需5台浸没式DUV和10台干式DUV/UV来生产1万片/月的7-14nm芯片 若良率持续低迷 对浸没式DUV的需求可能进一步超出当前预期[44] **对各地区设备商的影响** * 美国设备商:预计其在中国WFE支出中的市场份额将保持强劲但会逐步降低 受出口管制和本土采购倾向影响 已将应用材料 泛林 KLA在2H25和2026年初的对华出货预测下调 但预计其对华销售额长期将维持在营收占比20%以上的指引高端[45] * ASML:重申买入评级 看好其估值和2026年预期风险降低 强劲的全球前沿AI需求以及中国光刻需求的持续强劲是积极因素 预计对华销售将逐步正常化至20%左右(目前为20%+)[48] * 日本设备商:中国资本支出维持高位对其盈利提供一定支撑 但中国本土设备商能力稳步提升 预计外国设备商在中国WFE市场的TAM将从2024年峰值逐渐下降 若能在出口限制中 navigate 中国仍将是其关键市场[49] **本土设备商产品覆盖范围扩大** * 中国半导体设备(SPE)供应商的产品覆盖范围正在扩大 图表13详细列出了各公司在新产品上的进展[53] **中国是全球最大半导体消费市场** * 2024年中国半导体销售额达1820亿美元 占全球39% 同比增长20% 快于全球19%的增速[64][69] * 但以公司总部计 中国大陆企业仅占全球市场份额的5%~7%[63][64] * PC/计算机超过通信(含智能手机)成为2024年最大市场 受AI计算服务器需求激增推动[67][69] **子领域发展状况** * 晶圆代工:中国代工厂正在增加资本支出以满足需求 其2024年研发费用率高于全球同行 反映了其在弥补技术差距上的努力 其2024年收入增长高于联电 格罗方德 高塔和世界先进 但低于台积电和三星这两家先进制程领导者[84][85] * 封测(OSAT):中国大陆前三大OSAT公司(长电科技 通富微电 华天科技)已进入全球前十 在成熟封装技术上提供全面产品 但在chiplet和2.5D/3D先进封装方面仍处于早期阶段[87][89][91] * CIS:中国市场领导者2024年收入增长强劲 得益于国内客户订单增加和车载摄像头用量及规格升级 中国供应商在产品覆盖上已较全面 但在高端CIS市场(如旗舰智能手机主摄)的渗透率仍有提升空间[92][93][94] * 汽车芯片:地平线 黑芝麻 爱芯元智等中国汽车芯片供应商相对年轻 正在从入门级ADAS芯片向支持更高级别ADAS功能(如城市NOA)的高算力芯片迁移 凭借更具成本效益的解决方案和更快的新产品迭代持续获得国内车企订单[96][97][98] * AI芯片:中国玩家持续投入研发进行产品开发 如寒武纪2024年研发费用率达104% 海光达32% 除了服务器AI芯片 本土供应商也在开发用于消费电子 汽车和IoT等边缘设备的AI处理器 通过优化连接架构(如华为CloudMatrix 384)来弥补单芯片算力不足[103][104][105][107] 其他重要内容 **光刻机技术瓶颈与突破路径** * 光刻机发展的关键挑战在于系统集成和精密部件 包括:光学系统(设计复杂 精度要求高) 光源(高功率和可靠性) 晶圆台(快速移动 高精度和稳定性) 系统集成(组件数量庞大 精度和可靠性要求高)[109][111] * 2024年9月 工信部将65nm ArF光刻系统列入《首台(套)重大技术装备推广应用指导目录》[109] * 实现光刻突破的关键要素包括:人才(吸引全球顶尖人才 高校教育 校企合作) 资金(国家大基金三期470亿美元 IPO和科创板 地方政府资助 产业投资) 政策支持(国家科技项目 指导目录 研发费用加计扣除) 技术与IP(探索替代方案 数字化研发流程积累试错数据库 集中资源攻关核心难题) 行业领导者(支持华为 中芯国际 华虹 上海微电子等领军企业引领研发)[112][116] * 案例分析指出 ASML耗时约20年 投入约400亿美元研发和资本支出 才实现从65nm到3nm以下技术的迁移[117][118]
先进封装设备厂商如何应对全球化市场挑战-How Do Advanced Packaging Equipment Vendors Tackle Challenges in a Globalized Market_
2025-08-27 23:20
这份文档是一份关于全球半导体先进封装设备行业的深度研究报告,由SemiVision Research发布。以下是基于文档内容的全面、详细的关键要点总结。 涉及的行业和公司 * **行业**: 全球半导体行业,重点关注晶圆厂设备(WFE)和后端先进封装设备供应链 [2][6] * **主要提及公司**: * **晶圆代工厂/IDM**: 台积电(TSMC)、三星电子(Samsung Electronics)、英特尔(Intel)、联电(UMC)[2][7] * **前端WFE巨头**: 阿斯麦(ASML)、应用材料(AMAT)、泛林集团(Lam Research)、东京电子(TEL)、科磊(KLA)[7][33] * **OSAT巨头**: 日月光(ASE)、安靠(Amkor)、长电科技(JCET)[8][33] * **后端设备供应商**: Disco、Besi、ASMPT、库力索法(K&S)、Semes、Hanmi、Hanwha、EVG、SUSS [8][53][138] * **其他关键公司**: Advantest、Teradyne、SCREEN、佳能(Canon)、尼康(Nikon)、Lasertec、Kokusai Electric [19][63][73] 核心观点与论据 行业趋势与驱动力 * **摩尔定律放缓,先进封装成为性能提升关键**:随着摩尔定律演进放缓,2.5D/3D封装、异质整合、混合键合(Hybrid Bonding)、高密度基板和芯片堆叠等先进封装技术成为维持半导体性能增益的主要途径 [3][35][38] * **AI/HPC需求激增,重塑后端设备格局**:进入AI和高效能运算(HPC)时代,对CoWoS、SoIC等先进封装平台的需求激增,推动了混合键合和热压键合(TCB)等关键技术发展 [2][8][32] * **供应链呈现“技术集中”与“地域多元化”双重动态**:前端WFE市场由少数几家巨头垄断,但在地缘政治压力下,设备和产能的布局正加速全球化分散,以增强供应链韧性 [6][32][41] 技术与市场动态 * **前端WFE市场高度集中且增长**:2024年全球WFE厂商收入估计为133亿美元,预计到2029年将达到165亿美元 [49][72] 市场由ASML、AMAT、Lam、TEL、KLA五巨头主导,合计占据超过70%的营收 [7][125] * **后端封装设备市场因AI/HPC而快速增长**:预计到2030年,TCB设备市场规模将达9.36亿美元,混合键合设备市场将达3.97亿美元 [53] 主要设备商正积极扩产和升级产品线 [53] * **互连密度成为封装性能的核心评估指标**:传统CoWoS受限于约40µm的微凸点间距,而采用混合键合的SoIC可将间距缩小至≤10µm,从而实现更高的带宽、更低的延迟和功耗 [38][46][50] * **行业范式从“以设备为中心”转向“以材料为中心”**:材料集成能力日益成为竞争力的决定性因素,UV固化树脂、混合键合专用边缘防滑胶、先进热界面材料(TIM)、低Dk/低CTE介电基板等成为战略差异化的关键 [10][37][47] * **CoWoS面临光罩尺寸限制,新架构涌现**:CoWoS中介层面积受限于约9.5倍的光罩尺寸,促使行业探索晶圆级系统(SoW)和面板级芯片基板(CoPoS)架构,玻璃基板有望成为下一个突破点 [38][49][167] 地缘政治与供应链策略 * **出口管制与政府补贴推动供应链区域化**:美国的CHIPS法案和欧洲芯片法案等政策,正推动设备供应商在北美、欧洲、日本和东南亚建立新的制造和服务基地,以实现供应链的近岸化和多元化 [7][44][60] * **中国设备商快速追赶但短期难以替代**:中国本土设备商目前仅满足约14%的后端设备需求,在先进光刻等领域仍受限,短期内难以挑战国际巨头的 dominance [7][44][119] * **日本借助Rapidus项目复兴半导体产业**:日本通过Rapidus项目旨在2027年实现2nm工艺量产,并加强国内设备、量测和材料供应链, Kumamoto(熊本)因台积电的投资正成为日本“半导体二次起飞”的象征 [63][100][107] 成本与可持续发展压力 * **先进制程成本急剧上升**:N2制程晶圆成本约3万美元,2nm晶圆厂的资本支出(CAPEX)约270-280亿美元,推动了对CoPoS等更具成本效益的架构的兴趣 [68] * **绿色制造成为硬性指标**:ESG(环境、社会、治理)和RE100目标已嵌入效率和成本结构,不再是可选项 [45][48] 例如,台积电已将RE100目标从2050年提前至2040年,并要求其供应链在2030年前大幅提升可再生能源使用比例 [49][195][197] 其他重要内容 * **具体公司观察**: * **TEL**: 在涂胶显影(Track)设备市场占有约90%份额,并正积极扩展其SoIC键合技术 [63][73] * **Advantest**: 与Teradyne在ATE(自动化测试设备)领域形成双寡头垄断(>80%市场份额),AI/HBM需求推动其增长 [63][79] * **DISCO**: 在晶圆减薄和切割领域至关重要,但减薄带来的翘曲(warpage)问题在CoWoS-L中尤其严峻,需要材料和工艺协同创新来缓解 [63][89] * **Lasertec**: 在EUV掩模检测领域近乎垄断(~100%),对台积电的EUV和High-NA采用不可或缺 [63][92] * **材料创新的机遇**:先进封装为特种化学品公司提供了从传统化工转型进入半导体供应链的重大机遇,在台湾,此趋势已催生许多公司转向半导体材料领域 [10] * **短期波动与长期强劲**:尽管主流消费电子复苏缓慢,但AI/HPC需求为先进封装提供了结构性支撑,使其成为下一个竞争主战场 [72]
AMAT's Etch Business Crosses $1B: Can DRAM Momentum Continue?
ZACKS· 2025-08-27 22:36
公司业务表现 - 应用材料公司蚀刻业务在2025财年第三季度首次突破10亿美元季度收入[1] - 公司获得主要DRAM制造商新生产订单 包括最先进化学气相沉积系统和Pioneer介电图案化系统[2] - 领先DRAM客户收入预计在2025财年增长约50%[3] - 公司预计在垂直晶体管或4F2架构转型中获得超过5个百分点的增量市场份额[3] 行业技术趋势 - AI工作负载推动高性能DRAM需求增长[1] - 客户准备在2027-2028年转向垂直晶体管或4F2架构[3] - AI驱动工作负载增加对高带宽 高性能内存的需求[4] - 多个DRAM客户采用EUV光刻技术以缩短周期时间和降低成本[7] 市场竞争格局 - 泛林研究在2025财年第四季度使用最新导体蚀刻工具Akara获得主要DRAM制造商多个新应用订单[6] - 泛林研究在2025财年创下DRAM收入新高 由节点升级和HBM需求推动[6] - ASML Holding在2025年第二季度显示强劲客户需求 特别是DRAM和逻辑客户使用NXE:3800E EUV系统[7] 财务数据与估值 - 应用材料公司年初至今股价上涨1.2% 低于电子半导体行业16.4%的涨幅[8] - 公司远期市销率为4.47倍 低于行业平均的8.65倍[12] - 2025财年收益共识预期同比增长8.3% 2026财年增长1.5%[15] - 过去30天内对2025和2026财年收益预期被下调[15]
全球与中国Review SEM设备市场现状及未来发展趋势
QYResearch· 2025-08-27 16:59
Review SEM设备定义与功能 - 属于电子束检测设备类别 也称为缺陷复检设备(DR-SEM) 与电子束缺陷检测设备(EBI)同属一类[1] - 集成传统扫描电子显微镜成像功能与自动化缺陷复查系统 应用于半导体晶圆制造 光掩模检测及高精密器件生产的质量控制环节[1] - 对检测设备预先标记的微缺陷进行高分辨率图像采集与自动识别 实现缺陷类型 尺寸 形貌的分类分析和记录[1] - 具备自动导航 高通量图像采集 AI缺陷分类 与FAB生产系统联动等功能 是缺陷源头追溯 工艺优化和良率提升的关键工具[1] 行业背景与发展历史 - 作为半导体制造缺陷分析与工艺监控的关键设备 对制程优化 缺陷分类 良率提升具有核心价值[2] - 在关键尺寸进入亚10nm甚至5nm以下节点时 光学缺陷检测设备空间分辨率受限 Review SEM通过高分辨成像实现精准分析和分类[2] - 初期阶段(1990s前期):基于CD-SEM平台改造 服务于180nm-130nm节点 功能单一且依赖人工操作[3] - 成长期(2000-2010):90nm及以下节点缺陷类型多样化 需求快速增长 与自动光学缺陷检测设备联动成为趋势[3][4] - 高速发展期(2010-至今):10nm 7nm 5nm节点缺陷愈加微小复杂 EUV掩膜缺陷分析成为新增长点[5][6] 技术现状与发展方向 - 分辨率达1nm以下 支持低加速电压(0.5-1.5kV)以减少样品损伤 适用于EUV掩膜 FinFET GAA结构等敏感器件[7] - 支持多模成像(SE/BSE/EBAC)提升缺陷识别多样性与准确率[8] - 利用机器学习和深度学习算法提升缺陷自动识别能力 与缺陷数据库联动实现缺陷溯源和根因分析[9][10] - 与全流程系统集成化增强 嵌入inline工艺控制平台 与光学缺陷检测机 CD-SEM AFM X-SEM等设备互补联动[11][12] 市场结构与领先厂商 - 市场高度集中 日美两强主导 主要厂商包括Applied Materials 科磊和日立高科等[13] - 中国本土厂商处于早期导入期 聚焦28nm以上成熟节点 高端节点(EUV 7nm以下)全面依赖进口[13] - 2024年全球Review SEM设备市场规模达7.12亿美元 预计2031年达11.3亿美元 年复合增长率7.06%(2025-2031)[24] - 2024年中国大陆市场规模1.84亿美元 占全球25.8% 预计2031年达3.37亿美元 占全球29.7%[26] - 中国大陆 中国台湾和韩国是最大消费市场 2024年共占79.24%份额 其中中国台湾占28.2% 韩国占25.1%[26] - 美国和日本是重要生产国 2024年分别占66.4%和28.7%市场份额[26] - 晶圆专用DR-SEM设备占比最大 2024年占90.7%份额 Applied Materials为龙头企业 2024年市场占比超55%[26] - 全球核心厂商包括应用材料 日立高科 科磊 爱德万 Holon 上海精测等 2024年第一梯队(应用材料和日立高科)占约75%份额 第二梯队(科磊和爱德万)占19.3%份额[28] 应用需求趋势 - 晶圆厂产能扩张带动需求刚性增长 每座先进晶圆厂一般配置4-8台 Review SEM EUV线体可能更多[14][15] - 光罩EUV化推动掩膜Review SEM升级 EUV mask对缺陷容忍度极低 Review SEM成为标准检测设备[16][17] - 后道工艺(封装)需求上升 先进封装(2.5D/3D封装 TSV 混合键合)中封装层缺陷需Review SEM辅助观测[18] 设备发展趋势 - 从"缺陷观察"向"智能诊断平台"演进 成为集成化 高智能的缺陷分析中枢[19] - 亚纳米级分辨率与低加速电压成像成为发展方向 需在0.5-1kV电压下实现<1nm分辨率[20] - AI驱动缺陷分类与图像识别 主流厂商已集成机器学习模型 无监督学习 多模图像融合识别 跨设备数据共享训练为下一步发展方向[21] - 自动缺陷定位精度需达<10nm级别 与APC系统闭环实现全流程实时控制[22] - 与EDA和制造执行系统深度融合 实现数据驱动制程优化[23] - 多功能模块融合趋势 高端设备开始融合CD SEM和Review SEM功能 未来可能整合EBAC X-SEM 原位加热/偏压测试平台[24]