先进封装
搜索文档
华海清科跌2.01%,成交额4.95亿元,主力资金净流入2374.56万元
新浪财经· 2025-10-14 10:12
股价与交易表现 - 10月14日盘中股价下跌2.01%至163.38元/股,成交额4.95亿元,换手率0.84%,总市值577.39亿元 [1] - 当日主力资金净流入2374.56万元,特大单买入5575.76万元(占比11.25%),卖出4551.12万元(占比9.19%) [1] - 公司股价今年以来上涨49.75%,近5个交易日下跌2.67%,近20日上涨37.06%,近60日上涨45.87% [1] 公司基本面与业务构成 - 公司2025年1-6月实现营业收入19.50亿元,同比增长30.28%,归母净利润5.05亿元,同比增长16.82% [2] - 主营业务收入中,CMP/减薄装备销售占比87.70%,其他产品和服务占比12.30% [1] - 公司成立于2013年4月10日,于2022年6月8日上市,主营半导体专用设备的研发、生产、销售及技术服务 [1] 股东结构与机构持仓 - 截至2025年6月30日,股东户数为1.36万,较上期减少10.00%,人均流通股17452股,较上期增加54.75% [2] - 华夏上证科创板50成份ETF(588000)为第四大流通股东,持股891.68万股,较上期增加90.47万股 [3] - 易方达上证科创板50ETF(588080)和嘉实上证科创板芯片ETF(588200)分别为第七和第九大流通股东,持股分别增加114.97万股和87.38万股,香港中央结算有限公司退出十大流通股东 [3] 行业归属与分红情况 - 公司所属申万行业为电子-半导体-半导体设备,概念板块包括半导体设备、中芯国际概念、先进封装、集成电路等 [1] - A股上市后累计派发现金分红2.71亿元 [3]
先进封装推动,后端芯片设备增长迅猛
半导体行业观察· 2025-10-14 09:01
市场总体展望 - 半导体后端设备市场正经历深刻技术变革,从成本敏感工艺转向由先进封装技术驱动 [1] - 预计市场规模将从2025年的69亿美元增长至2030年的98亿美元,年复合增长率高达7.1% [1] - 先进封装成为高性能计算、人工智能和汽车应用的关键,后端环节转变为半导体性能和系统级集成的核心推动力 [1] 细分市场增长前景 - 热压键合市场预计到2030年收入将达约11亿美元,复合年增长率高达13.4%,其在高端内存集成中的核心作用推动增长 [6] - 混合键合是当前最具颠覆性的后端技术,预计到2030年市场规模达约4.77亿美元,复合年增长率高达24.6% [7] - 芯片贴片机市场预计到2030年收入达9.12亿美元,受汽车、消费电子和工业电子产品应用推动 [7] - 倒装芯片键合机市场规模预计到2030年增长至6.62亿美元以上,创新技术正实现更高I/O密度和更佳电气性能 [8] - 引线键合市场预计到2030年收入小幅增长至约9.94亿美元,K&S将保持强劲的市场领先地位 [8] - 晶圆减薄市场规模预计到2030年增长至8.9亿美元以上,主要得益于超薄研磨等技术创新 [11] - 切割市场规模预计到2030年达约20亿美元,激光和等离子切割因精度高和碎屑少而日益受青睐 [11] - 计量与检测市场收入预计到2030年增长至约8.5亿美元,人工智能驱动分析技术进步是主要推动力 [11][12] 技术创新趋势 - 切割技术方面,刀片、激光和等离子切割可实现精细切口宽度和低应力切割,对易碎器件至关重要 [14] - 晶圆减薄技术中,等离子辅助技术和超薄研磨技术可提高电气和热性能,满足更小、更高效芯片需求 [14] - 芯片键合机正朝着高速贴装和精密对准方向发展 [14] - 倒装芯片键合创新包括无助焊剂互连和超细间距技术 [15] - 计量与检测领域,自动光学检测、人工智能驱动的缺陷检测和预测分析正在提升质量保证 [21] - 热压键合对于高带宽内存和细间距集成正变得不可或缺 [20] - 混合键合代表了终极互连方法,可为下一代设备提供卓越的密度和性能 [20] 行业参与者动态 - 领先的OSAT厂商如ASE、Amkor、JCET和SPIL正在建设产能以满足先进封装需求 [19] - 代工厂和IDM厂商包括台积电、英特尔、SK海力士、美光和三星正在大力投资高带宽内存、小芯片和混合键合技术 [19] - 设备供应商如K&S、BESI、ASMPT、DISCO和Hanmi持续推动技术变革,扩展产品组合,并推动精度、产量和工艺灵活性创新 [19] - BESI近期获得的热压键合Next订单凸显了市场对先进封装设备的信心 [6] - BESI与应用材料的战略合作伙伴关系使其处于混合键合设备创新的前沿 [7] - DISCO凭借先进的双主轴刀片系统和飞秒激光创新技术在切割领域处于领先地位 [11]
【点金互动易】存储芯片+先进封装,具备多层堆叠封装工艺能力,这家国内存储芯片封测试龙头多款材料通过测试验证,并导入量产
财联社· 2025-10-14 08:35
存储芯片与先进封装行业 - 公司具备多层堆叠封装工艺能力 [1] - 公司是国内存储芯片封测试龙头 [1] - 公司多款材料通过测试验证并导入量产 [1] PCB与AI算力行业 - 公司在全球AI服务器和交换机市场份额领先 [1] - 公司首推6阶24层数据中心产品 [1] - 公司拥有100层以上高多层PCB技术能力 [1]
盛剑科技涨2.06%,成交额7736.11万元,主力资金净流出478.72万元
新浪财经· 2025-10-13 13:36
股价表现与交易情况 - 10月13日盘中股价上涨2.06%,报28.26元/股,总市值41.73亿元 [1] - 当日成交金额7736.11万元,换手率1.89% [1] - 今年以来股价累计上涨9.68%,近5日、20日、60日分别上涨2.54%、10.87%、5.88% [1] - 主力资金净流出478.72万元,特大单及大单均呈现净卖出状态 [1] 公司基本面与财务数据 - 公司主营业务为泛半导体工艺废气治理系统及关键设备,收入构成为绿色厂务系统68.25%,设备及关键零部件27.42%,电子化学品材料4.17% [1] - 2025年上半年实现营业收入5.76亿元,同比减少13.14% [2] - 2025年上半年归母净利润4219.38万元,同比减少36.31% [2] 股东结构与公司治理 - 截至6月30日股东户数为1.65万户,较上期减少6.79%,人均流通股9023股,较上期增加7.28% [2] - A股上市后累计派现1.54亿元,近三年累计派现7149.67万元 [3] - 截至2025年6月30日,中信保诚多策略混合(LOF)A为新进第七大流通股东,持股45.65万股 [3] 行业归属与业务定位 - 公司所属申万行业为环保-环保设备Ⅱ-环保设备Ⅲ [1] - 所属概念板块包括华为海思、光刻胶、先进封装、电子化学品、中芯国际概念等 [1]
台积电明年资本支出拼创高 年营收估逾3万亿元新台币将写新猷
经济日报· 2025-10-13 07:10
法说会前瞻与运营展望 - 公司将于10月16日举行法说会,业界预期将释放积极信息 [1] - 公司2026年营收预计将突破3万亿元新台币,创下新纪录 [1] - 公司明年资本支出有望高于今年,再创历史新高 [1][2] 2纳米制程进展与产能 - 公司2纳米制程良率已接近70%,最快将于年底投片量产,明年中扩大出货 [1] - 公司新竹宝山厂和高雄楠梓厂已进入试产及验证阶段 [1] - 公司2纳米产能已被苹果、AMD、高通、联发科等大客户预订一空,产能满载至明年底 [1] - 公司2纳米月产能预计年底达4万片,明年底整体月产能有望接近10万片 [1] 先进封装产能与需求 - 公司先进封装需求同步高涨,明年整体先进封装月产能预计超过15万片,产能利用率将持续满载 [2] - 公司将持续扩充CoWoS制程产能以满足英伟达需求,并扩大SoIC先进封装产能以满足苹果和AMD的需求 [2] 资本支出与扩产计划 - 公司明年资本支出可能高于今年设定的380亿美元至420亿美元范围 [2] - 公司海外持续扩厂,新竹、高雄厂区持续扩充,嘉义先进封装产能大增,共同推动2026年资本支出增长 [2]
汇成股份跌2.14%,成交额11.48亿元,近5日主力净流入2420.82万
新浪财经· 2025-10-10 15:52
股价与交易表现 - 10月10日公司股价下跌2.14%,成交额为11.48亿元,换手率为7.10%,总市值为164.64亿元 [1] - 当日主力资金净流出1.27亿元,近20日主力资金净流入2.65亿元 [4][5] - 主力持仓未控盘,筹码分布分散,主力成交额2.94亿元,占总成交额5.59% [5] 公司业务与技术布局 - 公司主营业务为集成电路高端先进封装测试服务,主要产品是显示驱动芯片封测,该业务占主营业务收入90.25% [2][7] - 公司掌握的凸块制造技术是Chiplet先进封装技术的基础之一,并积极布局Fan-out、2.5D/3D、SiP等高端先进封装技术 [2] - 公司持续投入研发,本报告期研发投入8,940.69万元,较上年同期增长13.38%,布局车规级芯片、存储芯片等封装技术 [2] - 公司OLED显示驱动芯片封测业务的客户包括联咏、瑞鼎、奕力、云英谷、集创北方等 [2] 财务与股东情况 - 2025年1-6月,公司实现营业收入8.66亿元,同比增长28.58%,归母净利润9603.98万元,同比增长60.94% [7] - 公司海外营收占比为54.15,受益于人民币贬值 [3] - A股上市后累计派发现金红利1.61亿元 [8] - 截至2025年6月30日,股东户数为2.03万,较上期减少0.64%,香港中央结算有限公司为新进第五大流通股东,持股1842.72万股 [7][8] 技术面与筹码分析 - 公司筹码平均交易成本为16.21元,近期快速吸筹 [6] - 当前股价位于压力位20.23元和支撑位18.11元之间 [6]
【公告全知道】存储芯片+机器人+数字经济+CPO+先进封装+储能!高端存储芯片封测龙头主要客户之一是长鑫存储
财联社· 2025-10-10 00:00
公司1:高端存储芯片封测龙头 - 公司业务涉及存储芯片、机器人、数字经济、CPO、先进封装及储能等多个领域 [1] - 公司是高端存储芯片封测领域的龙头企业 [1] - 公司主要客户之一是长鑫存储 [1] 公司2:具身智能与新能源企业 - 公司业务涉及固态电池、华为、低空经济及无人驾驶 [1] - 公司与字节跳动旗下AI服务平台签署了具身智能业务合作协议 [1] 公司3:储能与机器人供应商 - 公司业务涉及机器人和光伏领域 [1] - 公司与比亚迪开展战略合作,为其提供储能所需产品 [1] - 公司与头部人形机器人企业达成合作并已拥有订单 [1]
半导体及封测产业发展现状与趋势(附95页PPT)
材料汇· 2025-10-09 23:34
市场与格局:东方崛起,AI需求决定周期 市场规模与增长 - 2025年全球半导体销售额预计达到7280亿美元,同比增长15.4% [3][7] - 增长主要得益于逻辑器件(增长29%)和存储器(增长17%)的强劲增长,受数据中心基础设施需求和人工智能边缘应用兴起驱动 [3][10] - 2025年上半年全球半导体市场销售额达到3466亿美元,同比增长18.9% [7] - 2026年预计全年增长率为9.9%,销售额达到8000亿美元 [7] - 中国大陆2025年上半年销售额96亿美元,占全球市场的28%,继续领跑区域市场 [3] 市场集中度 - 前五大晶圆厂(TSMC、Samsung、SMIC、UMC、GlobalFoundries)合计市占率达到83%,其中TSMC一家独占48.7%且先进制程溢价显著 [13] - 前五大设备商(ASML、AMAT、LAM、TEL、KLA)合计市占率达到86%,欧美日企业仍垄断高端设备市场 [13] 制造与设备:EUV高NA时代启幕,国产加速验证 光刻技术发展 - ASML的0.33NA EUV光刻机已量产用于3nm制程,0.55NA(High-NA)EUV光刻机2025年小批量进厂,预计2030年成为主流 [39][42] - 国产28nm DUV光刻机已通过产线验证,14nm光刻机目标2026年量产,SSX600 i-line光刻机支持90-280nm关键层工艺 [39][59] 核心部件与价值链 - EUV光刻机的核心部件包括德国蔡司的光学系统、美国Cymer的13.5nm光源和日本激光器,这些部件占EUV价值链的70% [56] - 美国出口管制倒逼国产化进程 [56] 设备投资与国产化 - 2025年全球晶圆厂资本支出约1880亿美元,中国大陆资本支出350亿美元,同比增长40% [67] - 北方华创、中微公司、盛美、华海清科、拓荆科技等中国设备公司2024年合计营收74.4亿美元,同比增长43.5% [31][67] - 刻蚀、薄膜沉积、清洗、CMP等环节国产化率首次突破20% [67] 工艺路线:FinFET→GAA→CFET,计算光刻+AI成精度杠杆 晶体管技术演进 - 三星3nm GAA技术已量产,TSMC 2nm计划2025年第四季度风险试产,Intel的20A/18A节点采用RibbonFET技术 [69] - CFET(互补场效应晶体管)技术通过垂直堆叠n型和p型沟道,可将晶体管密度提升1.5-2倍,IMEC预计2028年A7节点导入 [69] 光刻极限与计算光刻 - k₁因子降至0.25以下,需要多重曝光技术(LELE/LFLE/SADP/SAQP)和ILT逆向掩模技术 [72][73] - NVIDIA的cuLitho技术通过GPU加速将全芯片ILT运算从数周缩短至数天,加速40倍,TSMC已将其导入2nm掩模产线 [72][87] - 国内计算光刻进展包括东方晶源的PanGen ILT技术支持90-14nm量产,AI模型提速80倍;宇微光学的28nm OPC技术已商用 [84] 先进封装:从2.5D中介层到3D混合键合 市场规模 - 2026年前封装市场总额预计达到960亿美元,年复合增长率3.8%,2026年先进封装市场份额将首次超过传统封装 [104] 技术路径 - 2.5D封装技术包括CoWoS-S(硅中介层)、CoWoS-L(LSI+RDL)和CoWoS-R(RDL中介层),中介层面积可扩展至3.3倍光罩尺寸(约2700mm²) [124][126][130] - 3D IC技术中,HBM3E实现12层堆叠,TSV深宽比大于20:1,微凸点间距55µm [140] - 混合键合技术实现Cu/SiO₂键合,间距1µm,2025年HBM4与SoC直接混合键合可将信号延迟降至0.5ns以下,功耗降低30% [140][160] 国产布局 - 长电科技、通富微电、华天科技、盛合晶微等公司2025年2.5D TSV产线投产 [168] - 深南电路、兴森科技的Build-up基板通过Intel和AMD认证,国产FC-BGA高端基板月产能合计150万片 [168] AI全链路赋能:从材料到封测 EDA智能化 - Synopsys的DSO.ai在5nm GPU上实现18%频率提升和15%功耗下降;Cadence的Cerebrus在28nm车规MCU上减少30%面积 [170] - 国产EDA公司芯华章、概伦电子、九同方发布AI-SPICE和AI-DFT工具,迭代速度提升3-5倍 [170] 制造智能化 - 应用材料的ExtractAI技术通过千分采样完成全片缺陷分类,良率爬坡周期缩短25% [172] - 中芯国际在线FDC采用强化学习技术,OOC(超出控制)事件降低42% [172] - TCL中环的拉晶大数据平台使12英寸硅片SFQR≤20nm直通率达到95% [172] 材料计算 - 材料基因组与AI技术结合,五年积累10万组数据,铜阻挡层Ta/TaN配方开发周期从6个月缩短至6周 [181] - 300mm硅片抛光液、光刻胶树脂、高纯湿化学品国产化率目标2025年达到30%,2030年达到70% [181] 产业展望(2025-2030) 技术节奏 - 2025年2nm GAA技术量产,2027年1.4nm CFET风险试产,2030年1nm以下技术单片集成2000亿晶体管 [188] - 3D DRAM和3D NAND堆叠层数推向500层以上,混合键合成为Chiplet标准接口 [188] 供应链重构 - 美国《芯片法案》、欧盟Chips-JU、日本2nm联盟持续收紧对华光刻、EDA和高带宽存储出口 [189] - 中国通过"举国体制+大基金三期"撬动1万亿元人民币投资,目标2028年实现28nm全链条去美化,14nm部分去欧化 [189] 竞争格局 - TSMC、三星和英特尔继续把持先进制程第一梯队 [190] - 中国大陆以成熟制程、先进封装和AI设计服务为突破口,预计2030年获取全球25%晶圆产能、35%封装份额和15%设备市场,形成"第二极"供应链 [190]
扩产周期与自主可控共振,半导体设备成为核心资产
2025-10-09 22:47
行业与公司 * 纪要涉及的行业为半导体设备行业,并延伸至先进封装领域[1][9] * 核心公司包括中微公司、拓荆科技、北方华创(华创)、华晶科、金宜装备等设备厂商[1][6][7] 核心观点与论据 **行业前景与驱动力** * 存储扩展将在2026年迎来大年,预计存储和先进逻辑领域将保持增长,存储方面的乐观预期将带动整个半导体设备行业发展[1][2] * 2026年两家主要存储厂商预计每家扩产5万片,总资本开支弹性约为60%以上,若包括长兴HBM扩展等因素,弹性可能达到70%左右[1][6] * 先进逻辑方面,2025年预计为4万片,2026年保守估计为5万片,有25%的增长[6] * 各地政府(如上海、北京)积极推进先进逻辑节点产能,将增加对相关设备的需求,强化行业基本面[1][5] **国际环境与国产化** * 美国对中国半导体设备的制裁和中国对稀土元素的管控等国际动态,显示中国自主可控能力提升,国产化率逻辑加强,但总体不改变对板块的乐观看法[1][3] **公司订单与增长** * 中微公司2025年订单增速接近40%,2026年预计至少45%[1][6] * 拓荆科技2025年增速40-50%,2026年至少维持此水平[1][6] * 北方华创2025年订单增速30%,预计达500亿人民币,其中200亿来自先进逻辑,占比40%[1][6] * 华晶科2026年订单增速约50%[1][6] * 金宜装备2025年订单约25亿,长春与长兴合计6亿,新进逻辑占比较高,2026年订单增速约30%[1][6] **远期市场空间与估值** * 假设到2030年国内半导体设备市场规模达400亿美元[3][7] * 华创远期空间约4,200亿人民币,相较现价有28%的上升空间[3][7] * 中微公司远期空间2,800亿人民币,有30-40%的上升空间[3][7] * 拓荆科技远期空间1,000亿人民币,有20-30%的上升空间[3][7] * 金宜装备坚定其市值目标为200亿人民币[3][7] * 当前半导体前道设备的估值大约为170亿元左右,线上市场还有大约20%左右的增长空间[8] **细分领域机会** * 预计2026年先进封装领域将有显著发展,长兴HBM扩产以及先进散热芯片的封装等项目有望推动该领域发展[1][3][9] * 推荐关注先进封装相关设备,如超声超声、金之达以及边缘类设备如快客拉等,以及关键混合金额设备如百奥化学[9] 其他重要内容 * 自9月份以来,半导体板块中领涨的是半导体设备,其次是设计和制造,而封测相对涨幅较小[8] * 如果IPO有进展,将对双测设备(如精智达和华虹测控)形成催化作用[8] * 坚定看好未来一年的半导体行情,认为存储和先进逻辑芯片都有望出现基本面的重大策划[10]
揭秘涨停丨先进封装龙头股封单资金超19亿元
证券时报网· 2025-10-09 19:03
市场整体涨停概况 - A股市场收盘共有100只个股涨停,剔除ST股后为89只涨停,整体封板率达到71.43% [1] - 当日有40只个股封板未遂 [1] 涨停个股资金分析 - 通富微电涨停板封单资金最高,达到19.65亿元 [2][4] - 山子高科涨停板封单量最高,为79.53万手 [2] - 上海电气和浙富控股涨停板封单量分别为61.88万手和38.37万手 [2] - 共有29只个股封单金额超过1亿元,上海电气和北方稀土封单资金分别为6.42亿元和6.09亿元 [4] 连续涨停个股表现 - 天际股份实现4连板 [3] - 山子高科、*ST东易、冠中生态实现3连板 [3] - 江西铜业、深科技、河钢资源等5只个股实现2连板 [3] 黄金概念板块 - 涨停个股包括四川黄金、山东黄金、中金黄金、西部黄金、紫金矿业等 [5] - 四川黄金以5.10亿元人民币竞得新疆一处金矿普查探矿权 [5] - 山东黄金正在积极推动甘肃地区金矿项目的开发建设 [6] - 中金黄金2025年上半年生产矿产金9.13吨,同比增长2.35% [7] 核聚变概念板块 - 涨停个股包括西部超导、哈焊华通、中国核建、合锻智能、中洲特材等 [8] - 西部超导积极对接国内重大核聚变项目,以超导线材保持市场优势 [8] - 哈焊华通为核聚变设备提供高质量焊接材料,参与紧凑型全超导核聚变实验装置项目 [9] - 中国核建在国际热核聚变ITER项目及相关工程中发挥重要作用 [9] 铜概念板块 - 涨停个股包括云南铜业、江西铜业、西部矿业、铜陵有色、河钢资源等 [10] - 云南铜业主产品为阴极铜,副产黄金、白银等产品 [10] - 江西铜业拥有德兴铜矿等多座在产铜矿 [11] - 西部矿业上半年矿产铜产量为91752万吨,同比增长7.65% [12] 龙虎榜交易动态 - 龙虎榜上榜个股包括赣锋锂业、剑桥科技、山子高科、深科技、西部超导、天际股份等 [13] - 赣锋锂业、深科技、天际股份龙虎榜净买入额居前,分别为7.83亿元、5.51亿元、3.15亿元 [14] - 机构专用席位净买入额前三为赣锋锂业、天际股份、灿芯股份,金额分别为5.21亿元、4.42亿元、3.58亿元 [15] - 深股通净买入赣锋锂业1.6亿元,沪股通净买入燕东微7987.07万元和西部超导7701.85万元 [15] 相关ETF产品 - 黄金股ETF(代码159562)跟踪中证沪深港黄金产业股票指数,最新份额为11.1亿份,增加500万份 [17] - 该ETF市盈率为26.01倍,估值分位为55.97%,主力资金净流出1840.7万元 [17]